LED回路 工作部屋 > PIC16F688 プルアップの使い方 【最終更新日:2011/12/20】
PIC16F688 プルアップの使い方
プルアップの設定手順

※PIC16F688はRAポート(RA0,1,2,4,5)でプルアップが設定可能です

@ プルアップで使用するI/OポートのTRISAを入力にする (1=入力 0=出力 Reset時=1)

A OPTION_REG の RAPU を有効にする (1=無効 0=有効 Reset時=0)

B WPUA でプルアップするポートを指定する (1=プルアップする 0=プルアップしない Reset時=0)

PIC16F886 のピン配置図
主に使用するレジスタ
Bank1
OPTION_REG PAPU INTEDG T0CS T0SE PSA PS2 PS1 PS0
WPUA - - WPUA5 WPUA4 - WPUA2 WPUA1 WPUA0
Bank1
レジスタの説明
PAPU 1 = RAのプルアップ機能を使用しない(無効)
0 = RAのプルアップ機能を使用する(有効)
WPUA5 1 = RA5をプルアップする
0 = RA5をプルアップしない
WPUA4 1 = RA4をプルアップする
0 = RA4をプルアップしない
WPUA2 1 = RA2をプルアップする
0 = RA2をプルアップしない
WPUA1 1 = RA1をプルアップする
0 = RA1をプルアップしない
WPUA0 1 = RA0をプルアップする
0 = RA0をプルアップしない